aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug052/tb.vhdl
blob: 5b266ef04b42ca705cf52fd370d6ba3fd8af0054 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity tb is
  package pkg1 is
    constant c : natural := 5;
  end pkg1;
end tb;

architecture behav of tb is
begin
  assert pkg1.c = 5 severity failure;
  assert pkg1.c /= 5 report "value is correct" severity note;
end behav;