aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug052/tb_simple2.vhdl
blob: e137dd0704cb44cb7031a31d9436c046a63c1032 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity tb_simple2 is
  generic (v : natural := 4);
  package pkg1 is
    constant c : natural := v + 1;
  end pkg1;
  constant c : natural := v - 1;
end;

architecture behav of tb_simple2 is
begin
  assert pkg1.c = 5 and c = 3 severity failure;
  assert not (pkg1.c = 5 and c = 3) report "value is correct" severity note;
end behav;