aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug053/tb3.vhdl
blob: 6e40456d9b9d2550fefaa30776699cebeefb7688 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
package pkg2 is
  package pkg1 is
    constant c : natural := 5;
    function f return natural;
  end pkg1;
end pkg2;

package body pkg2 is
  package body pkg1 is
    function f return natural is
    begin
      return 3;
    end f;
  end pkg1;
end pkg2;

entity tb3 is
end tb3;

use work.pkg2.all;

architecture behav of tb3 is
begin
  assert pkg1.c = 5 severity failure;
  assert pkg1.c /= 5 report "value is correct" severity note;
  assert pkg1.f = 3 severity failure;
  assert pkg1.f /= 3 report "value is correct" severity note;
end behav;