aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug056/tb.vhdl
blob: cda7ef62fa546355273d3955ec724bc1e84e4e4b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
package pkg is
  generic (c : natural);

  function f return natural;
end pkg;

package body pkg is
  function f return natural is
  begin
    return c;
  end f;
end pkg;

entity tb is
end tb;

architecture behav of tb is
  package p is new work.pkg generic map (c => 3);
begin
  assert p.f = 3;
end behav;