aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug057/tb.vhdl
blob: cc4b8f9f3fdc044c5ebee401e8feeda203657954 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
package pkg1 is
  generic (c : natural);
  generic map (c => 5);

  function f return natural;
end pkg1;

package body pkg1 is
  function f return natural is
  begin
    return c;
  end f;
end pkg1;

entity tb is
end tb;

architecture behav of tb is
begin
  assert work.pkg1.f = 5;
end behav;