aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug057/tb2.vhdl
blob: 98f7bc5c12c66724b62983ae0789a259b1632a8a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb2 is
end tb2;

architecture behav of tb2 is
  package pkg1 is
    generic (c : natural);
    generic map (c => 5);

    function f return natural;
  end pkg1;

  package body pkg1 is
    function f return natural is
    begin
      return c;
    end f;
  end pkg1;
begin
  assert pkg1.f = 5 severity failure;
end behav;