aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug069/bug8.vhdl
blob: ab807e2e0124e6456d1d9dbf6cadcdd723e811f7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity bug8 is
end entity bug8;

architecture x of bug8 is

  type bit_position is (msb);
  signal test : real;

begin

  test <= msb;

end architecture x;