aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug077/repro.vhdl
blob: c8d6fd04e8b82454e9120fd01260d85c2ac303b9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
package pkg is
  type my_inputs is record
    a : bit;
    w : bit_vector;
  end record;
end pkg;

use work.pkg.all;
entity child is
  port (i : my_inputs);
end;

architecture behav of child is
begin
  assert i.w = (i.w'range => i.a);
end behav;

entity repro is
end repro;

use work.pkg.all;
architecture behav of repro is
  signal s : bit_vector (7 downto 0);
  signal a : bit;
begin
  inst : entity work.child
    port map(
      i.a => a,
      i.w => s);

  process
  begin
    a <= '0';
    s <= x"01";
    wait;
  end process;
end;