aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug077/repro3.vhdl
blob: 5e82965f87df2d672229d74e79230cf0ff485bbd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity repro3 is
end repro3;

architecture behav of repro3 is
  type my_rec is record
    a : bit;
    w : bit_vector;
  end record;

  procedure check (v : my_rec) is
  begin
    assert v.a = '0' and v.w = "01" severity failure;
  end check;

  procedure pack (a : bit; w : bit_vector) is
  begin
    check (v.a => a,
           v.w => w);
  end pack;
begin
  process
  begin
    pack ('0', "01");
    wait;
  end process;
end;