aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug077/repro6.vhdl
blob: f2d96169d577af96eb1dc4b569b515d8b4dc45b6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
entity repro6 is
end repro6;

architecture behav of repro6 is
  type my_rec is record
    a : bit;
    w : bit_vector (1 to 3);
  end record;

  procedure check (signal v : my_rec) is
  begin
    assert v.a = '0' and v.w = "001";
  end check;

  procedure pack (signal a : bit; signal w : bit_vector) is
  begin
    check (v.a => a,
           v.w => w);
  end pack;

  signal sa : bit;
  signal sw : bit_vector (1 to 2);
begin
  process
  begin
    sa <= '0';
    sw <= "01";
    wait for 0 ns;
    pack (sa, sw);
    wait;
  end process;
end;