aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug079/repro2.vhdl
blob: d1cec45bb00bc441c38c8a4940966c7c9939f9cf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity repro2 is
end repro2;

architecture behav of repro2 is
begin

  process
    type my_rec is record
      inc : natural;
      b : bit;
    end record;

    constant bv : bit_vector := x"45";
    
    procedure proc (v : my_rec; bv : bit) is
    begin
      assert v.b = bv;
    end;
  begin
    proc (v => (inc => 3,
                b => bv(3)),
          bv => '0');
    wait;
  end process;
end behav;