aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug086/sig.vhdl
blob: 20d75216eca4e0f48801ab2d20ee3d70f4d8e0cc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity sig is
end;

architecture behav of sig is
  signal s : natural;
  signal last : time;
begin

  s <= 1 after 20 ns;

  b: block
    port (q : boolean);
    port map (q => s'quiet(10 ns));
  begin
    process (q)
    begin
      report "q is " & boolean'image (q);
      last <= now;
    end process;
  end block;

  process
  begin
    wait for 100 ns;
    assert last = 30 ns severity failure;
    wait;
  end process;
end;