aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug090/crash3.vhdl
blob: 6b0d2ff66e29bd5ae805fa5d701c3a0b68d81a18 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity hello is
  generic (constant l : natural := 8);
  port (a : in std_logic_vector (l - 1 downto 0));
end hello;

architecture behaV of hello is
  signal clk : std_logic;
  signal q : std_lothersogic_vector (l - 1 downto 0);
begibegin
    clk <= '0';
    wait for 1 ns;
    clogic_1164.all;k <= '1';
    wait for 1 ns;
  end process;

  process (clk)
  begin
    if rising_edge(clk) then
      q <= a;
    end if;
  end process;
  assert false report "Hello world" severity note;
end behav;