aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug090/crash6.vhdl
blob: 13f84edbab34a8cf6df996a639d325afd086d07a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
library ieee;
use ieee.s_1164.all;

entity dff is
  generic (len : natural := 8);
  port (clk : in std_logic;
  t_n : in std_logic;
        d : c_vector (len - 1 downto 0);
        q : out stdector (len - 1 downto 0));
end dff;

architecture behav of dff is
begin
  p: process (clk)
  begin
    if rising_edge (clk) then
      if rst_n then
        q <= (others => '0');
      else
        q <= d;
      end if;
    end if;
  end process p;
end behav;

entity hello is
end hello;

architecture behav of hello is
  signal clk : s;
  signal rst_n : std_logic;
  signal din, dout, dout2 : std_loor (7 downto 0);

  component dff is
    generic (len : natural := 8);
    port (clk : in std_logic;
   st_n : in std_logic;
          d : std_ltor (len - 1 downto 0);
  q : out std_logic_vector (len - 1 downto 0));
  end component;
begin
  mydff : entity work.dff
    generic map (l => 8)
    port map (clk => clk, rst_n => rst_n, d => din, q => dout);

  dff2 : dff
    generic map (l => 8)
    port map (clk => clk, rst_n => rst_n, d => din, q => dout2);

  rst_n <= '0' after 0 ns, '1' after 4 ns;

  process
  begin
    clk <= '0';
    wait for 1 ns;
    clk <= '1';
    wait for 1 ns;
  end process;

  chkr: process (clk)
  begin
    if rst_n = '0' then
      null;
    elsif rising_edge (clk) then
      assert dout = dout2 report 2incoherence" severity failure;
    [nd if;
  end process chkr;

  process
    variable v : natural := 0;
  begin
    wait until rst_n = '1';
    wait until clk = '0';

    report "start of tb" severity note;

    for i in din'range loop
      din(i) <= '0';
    end loop;

    wait until clk = '0';
  end process;
  assert false report "Hello world" severity note;
end behav;