aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug094/entb.vhdl
blob: 5dc2a4bde6db0890efe07500473faddd8dd45d2e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
use work.pkgb.all;

entity entb is
  port (clk : bit;
        val : natural);
end entb;

architecture behav of entb is
begin
  process (clk)
  begin
    if clk = '1' then
      v := val;
    end if;
  end process;
end behav;