aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug14953/bug.vhdl
blob: 228e7e60df91288cd720833278f5e41c0c0de066 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity bug is
end entity;

architecture a of bug is
    signal irunning :natural range 0 to 1 := 2;  -- reports no error
begin
    irunning <= 2;  -- reports error, but no information
end architecture;