aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug14953/bug2.vhdl
blob: a84c39669a3596c0d26802b041e5a2c5186beeaa (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity bug is
end entity;

architecture a of bug is
    signal irunning :natural range 0 to 1;
begin
    irunning <= 2;  -- reports error, but no information
end architecture;