aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug18351/PROBLEM.vhdl
blob: d312f2c33623001453f0506a28ac6aeeec412d3a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;


entity PROBLEM is
end PROBLEM;


architecture BUG of PROBLEM is
  type t_stdlogic_ptr is access std_logic_vector;
  function ISSUE_HERE return t_stdlogic_ptr;

  procedure PROBLEM_INSIDE is
    procedure ANY_STUFF(param: in std_logic_vector) is
    begin
    end procedure;
  begin
    ANY_STUFF(ISSUE_HERE.all);
  end PROBLEM_INSIDE;

begin

  

end BUG;