aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug20312/arr.vhdl
blob: cad470e177e2b0106fc21f0989ae91efed1dc7ad (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity arr is
  generic (width : natural := 4);
end arr;

architecture behav of arr is
  subtype line is bit_vector (1 to width);
  type memory is array (0 to 7) of line;
begin
  process is
    variable l : line;
    variable mem : memory;
  begin
    wait;
  end process;
end behav;