aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug20703/t.vhdl
blob: 6b6c34b63236d6a801cca0a0b446ac311733c890 (plain)
1
2
3
4
5
6
7
8
9
10
entity t is end t;

architecture behav of t is
begin
  process
  begin
   report "val = " & "";
   wait;
  end process;
end;