aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1038/repro2.vhdl
blob: 8b340b81372beeeecb823536405b5b240b9a54c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity repro2 is
end;

architecture behav of repro2 is
    type bv_array      is array (natural range <>) of bit_vector;
    subtype byte_array  is bv_array(open)(7 downto 0);

    type mrec is record
      b                   : boolean;
      data                : byte_array;
    end record;

    signal s : mrec (data(0 to 3));
begin
  process
    variable a : mrec (data(1 to 4));
  begin
    s <= a; -- after 1 ns;
    wait for 2 ns;
    s <= s;
    wait;
  end process;
end behav;