aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1038/repro3.vhdl
blob: 152c9b48f4c36892b0e05205577ce4b182d6cf91 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity repro3 is
end;

architecture behav of repro3 is
    type bv_array      is array (natural range <>) of bit_vector;
    subtype byte_array  is bv_array(open)(7 downto 0);

    type mrec is record
      b                   : boolean;
      data                : byte_array;
    end record;

    signal s : mrec (data(0 to 3));
  procedure assign (signal sig : out mrec) is
    variable a : mrec (data(1 to 4));
  begin
    sig <= a;
  end assign;
begin
  process
  begin
    assign (s);
    wait;
  end process;
end behav;