aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue106/case1.vhdl
blob: 0826128079bf48282cf85dbd3b3acb1e98f75856 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent is
end entity ent;

architecture arch of ent is
  signal test: natural;
  constant e : natural := 3;
begin
  LL: case e generate
    when 0 =>
    when 1 to 4 =>
       test <= 1;
    when l5: 5 | 7=>
       test <= 2;
    when others =>
  end generate ll;

  process
  begin
    wait for 0 ns;
    assert test = 2;
    wait;
  end process;
end architecture arch;