aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue106/ent2.vhdl
blob: 99b693fda23682963d1b2311387194ef7fd56286 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent is
end entity ent;

architecture arch of ent is
  constant test: natural := 3;
begin
  LL: if test=10 generate
   begin
   end;
  elsif test=5 generate
   begin
   end;
  end generate;
end architecture arch;