aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1063/matching.vhdl
blob: a9484c514f8c9d9ee0c4c5e73cc344a0aeb2dbb4 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity matching is
end matching;

architecture behav of matching is
  constant ceq : std_logic := '1' ?= '1';
begin
  assert ceq = '1';
end behav;