aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1120/repro2.vhdl
blob: 915b66ba4f66552481ecbf25e43ba932f915d452 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity repro2 is
  generic(
    BITS  : positive := 4);
  port(
    min : in  bit_vector(BITS - 1 downto 0) := "1010");
end entity;

architecture rtl of repro2 is
begin
  process
    variable sum : bit_vector(BITS - 2 downto 0);
    variable carry : bit;
  begin
    (carry, sum) := min;
    assert carry = '1';
    assert sum = "010";
    wait;
  end process;
end architecture;