aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1120/test.vhdl
blob: 3cbb63e16e53c4facf9b3631e93b86817a276dff (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
  generic(
    BITS  : positive;
    ZEROS : unsigned(BITS - 1 downto 0) := (others => '0'));
  port(
    min : in  u_unsigned(BITS - 1 downto 0) := ZEROS);
end entity;

architecture rtl of test is

begin

  process
    variable sum : unsigned(BITS - 2 downto 0);
    variable carry : std_ulogic;
  begin
    (carry, sum) := min;
    wait;
  end process;

end architecture;