aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1128/test.vhdl
blob: 5de688c2d3e69bb413aa8e308254ac76ef77873f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
  port(
    input : in unsigned := "0011");
end entity;

architecture rtl of test is
  signal copy : input'subtype;
begin
end architecture;