aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1131/repro.vhdl
blob: 9a56e95fd4859597e16b8c6385c78b56e2a7c5c3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity repro is
	generic (
		BUS_WIDTH : integer := 8);
end entity;

architecture Behav of repro is
	type BusT is record
		A : bit_vector;
		F : bit_vector;
	end record;

	signal BusInst : BusT(
		A(BUS_WIDTH-1 downto 0),
		F(3 downto 0)
	);
begin

end architecture;