aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1131/repro1.vhdl
blob: 934f800d76a45bf9081eea5307f2b004b81d492f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity repro1 is
	generic (
		BUS_WIDTH : integer := 8);
end entity;

architecture Behav of repro1 is
	type BusT is record
		A : bit_vector;
		F : bit_vector;
	end record;

	signal BusInst : BusT(
		A(BUS_WIDTH-1 downto 0),
		F(3 downto 0)
	);
begin

end architecture;