aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1138/repro.vhdl
blob: 31d273f75a700771e045b3bad47f8159593016c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro is
end;

architecture behav of repro is
  type my_rec is record
    bv : bit_vector;
  end record;
  
  function get_bv (n : natural) return my_rec is
  begin
    return (bv => (1 to n => '0'));
  end get_bv;

  constant l : natural := get_bv (5).bv'length;
begin
  assert l = 5;
end;