aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1145/tb.vhdl
blob: 397a37e72f062b56c67bd03432ae6a3620ee6c88 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library std;
use std.textio.all;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb_ghdl_test is
end entity tb_ghdl_test;

architecture tb of tb_ghdl_test is
begin
  p_test : process is
    file f_in : text;
    variable v_line     : line;
  begin
    file_open(f_in, "ghdl_test.txt", read_mode);

    while not endfile(f_in) loop
      readline(f_in, v_line);
      report v_line.all;
    end loop;

    wait;
  end process;
end architecture;