aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1229/pkg.vhdl
blob: 95dd53e689b79fe42420242442e8fdc80bd8fcbf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
package pkg is
  generic (N : integer := 2);

  procedure showPackageN;

  impure function c_int return integer;
  attribute foreign of c_int : function is "VHPIDIRECT caux.so getInt";
end package pkg;

package body pkg is
  procedure showPackageN is
  begin
    report integer'image(N);
  end;

  impure function c_int return integer is
  begin
    assert false report "c_int VHPI" severity failure;
  end c_int;
end package body pkg;