aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1246/tb.vhdl
blob: fee70d79a381776d3b673e8ff8de99bad1628f92 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
--------------------------------------
-- use work.pkg_A.all;
--------------------------------------

entity tb is
end tb;

architecture arch of tb is
        --------------------------------------
	package pkgA is new work.pkg_A
		generic map (
			A 	=> 0
		);
        --------------------------------------
begin
	process
                --------------------------------------
		use pkgA.all;
                --------------------------------------
	begin
		showA;
		wait;
	end process;
end architecture;