aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1249/repro1.vhdl
blob: 35b2583bf3eff005ac0daac18ba5b713a503ab0c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity repro1 is
  generic (WIDTH : natural := 4);
end;

architecture behav of repro1 is
  type myarr is array(natural range <>) of bit_vector(WIDTH-1 downto 0);

  type myrec is record
    a: bit_vector(WIDTH-1 downto 0);
  end record;
begin
end;