aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1252/tb.vhdl
blob: 89430c6c9ecb3af7365a1b5622a5b337f574219d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
use work.pkg.all;

entity tb is 
end entity tb;

architecture arch of tb is
begin
	process
	begin 
		report integer'image(c_int.get);
		wait;
	end process;
end arch ;