aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1257/repro2.vhdl
blob: 36a4b224b16c17cd5202f40084397dc981a14934 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro2 is
end repro2;

architecture behav of repro2 is
   signal left : bit_vector(1 downto 0);
begin
    process
    begin
      for i in 1 to 2 loop
        left(i) <= '1';
      end loop;
      wait;
    end process;
end;