aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1262/ent.vhdl
blob: c71cc833034c6d69845017e42d354716c46280fc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity ent is
  generic(
    WIDTH: integer;
    package slv_pkg is new work.slv generic map(N => WIDTH));
  port (
    o_slv: out slv_pkg.slv_t);
end ent;

architecture beh of ent is
  constant ones : std_logic_vector(WIDTH-1 downto 0) := (others => '1');
begin
  o_slv <= ones;
end architecture beh;