aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1262/pkg_slv.vhdl
blob: a778f9f233adc234756de0e6e1ef0fe4433f7dbf (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package slv is
	generic(
		N: integer
	);
	subtype slv_t is std_logic_vector(N-1 downto 0);
end package;