aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1274/repro3.vhdl
blob: d7fc2e56c09ae6a2a82c97aedc255aad58f5a3a2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro3 is
end ;

architecture beh of repro3 is
begin
  process
    variable foo, bar : std_logic;
  begin
    (foo, bar) := "10"; -- crashes
    wait;
  end process;
end architecture;