aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1287/myentity.vhdl
blob: 8f318bdf66ff41fb55793a936ee7f4356e5e63d8 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity myentity is
end myentity;

architecture arch of myentity is
  type myrecord is record
    a : bit_vector;
  end record;
  subtype myboundedrecord is myrecord(a(1 downto 0));
  type myarray is array (natural range <>) of myboundedrecord;
begin
end arch;