aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1338/repro.vhdl
blob: dbbbc4b21300d37ccfa44f2f36ad85112b380ce3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro is
end repro;

architecture behav of repro is
  signal s : unsigned (3 downto 0);
  signal n : integer;
begin
  process
  begin
    for i in 1 to 5 loop
      n <= to_integer(s);
      s <= s + 1;
      wait for 1 ns;
    end loop;
    wait;
  end process;
end behav;