aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1346/memory_map_pkg.vhd
blob: 1dc2b7e87059ed38d1a5def9257be08069ecca4f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;
    
library work;
    use work.wb_pkg.all;

package memory_map_pkg is
 
  type memory_map_i_t is record
    dmn    : dmn_t;
    wb_M2S : wb_M2S_t;
    reg    : array_t; 
  end record;

  type memory_map_o_t is record
    wb_S2M : wb_S2M_t;
    en     : std_ulogic_vector;
    reg    : array_t; 
  end record;
  
end memory_map_pkg;
--#############################################################################
--=============================================================================
--#############################################################################
package body memory_map_pkg is

end package body memory_map_pkg;
--#######################################################################################
--#######################################################################################
--#######################################################################################
--#######################################################################################
--#######################################################################################