aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1361/repro3.vhdl
blob: 1309a3ba0473a683b66c100f86f3a5139ea31f15 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
ENTITY repro3 IS
END repro3;

ARCHITECTURE behav of repro3 IS
  CONSTANT AddrRANGE     : NATURAL := 16#0FFFFFF#;

  TYPE MemArray IS ARRAY (0 TO AddrRANGE) OF bit_vector;
begin
    process
      VARIABLE Mem : MemArray(open)(7 downto 0) := (OTHERS => x"00");
    begin
      Mem := (OTHERS => x"ff");
      WAIT;
    END PROCESS;
END behav;