aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1379/bar3.vhdl
blob: 20665a85387e2a53f5674dbac783e23db5c90cf5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity foo3 is
  generic (
    LENGTH : natural
    );
  port (
    input : in bit_vector(LENGTH - 1 downto 0)
    );
end foo3;

architecture behave of foo3 is
begin
end behave;

entity bar3 is
end entity bar3;

architecture behave of bar3 is
begin

  my_foo : entity work.foo3
    port map (
      input => (others => '0')
      );
end behave;