aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1381/repro4.vhdl
blob: 9a54e4027137ec6c1befcd9a843fe61b1bd5794d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro4 is
end;

architecture behav of repro4 is
  impure function f(a : bit_vector) return bit_vector
  is
    variable n : natural := 2;
    subtype st is natural range 1 to n;
  begin
    return a;
  end f;
begin
  assert f("01") = "01";
end behav;