aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1387/repro4.vhdl
blob: 2f09a60f45c9876ba5fd37971f893ec2f836cb0e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
package repro4 is
  constant v : bit_vector := x"01";

  function f1 (a : natural := v'length) return natural;
  function f2 (a : natural := v'left) return natural;
  function f3 (a : natural := v'right) return natural;
  function f4 (a : natural := v'high) return natural;
  function f5 (a : natural := v'low) return natural;
  function f6 (a : boolean := v'ascending) return natural;
end;

package body repro4 is
  function f1 (a : natural := v'length) return natural is
  begin
    return 1;
  end;

  function f2 (a : natural := v'left) return natural is
  begin
    return 1;
  end;

  function f3 (a : natural := v'right) return natural is
  begin
    return 1;
  end;

  function f4 (a : natural := v'high) return natural is
  begin
    return 1;
  end;

  function f5 (a : natural := v'low) return natural is
  begin
    return 1;
  end;

  function f6 (a : boolean := v'ascending) return natural is
  begin
    return 1;
  end;
end;