aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue14/repro1.vhdl
blob: 6d37c16380cd23608de19d0619dac5010ed252a7 (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

entity repro1 is
end repro1;

architecture behav of repro1 is
begin
  assert std_ulogic_vector'("LL") ?= "00";
end behav;