aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1404/tb.vhdl
blob: bf410f4e9e778ac7b2d6afabe0040c3c75ac9c4e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
use work.types_pkg.all;

entity design_tb is
end entity;

architecture testbench of design_tb is
  constant PERIOD : time := 10 ns;

  signal clk   : bit := '1';

  type pass_through_t is record
    texture_id         : mytype_t;
  end record;

  signal input_pass_through       : pass_through_t;

begin
  clk <= '0' after 1 ns, '1' after 2 ns;
end architecture;