aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1412/repro.vhdl
blob: 92e770622c6e51194f8daccbf678309358bc2a20 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro is
end;

architecture behav of repro is
  signal s : bit_vector(7 downto 0);
begin
  process (s)
  begin
    for i in s'range loop
      if s (i)'event then
        report "event for s bit " & natural'image (i);
      end if;
    end loop;
  end process;

  s <= x"42" after 1 ns, x"82" after 2 ns;
end behav;